CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - FPGA QPSK

搜索资源列表

  1. QPSK

    1下载:
  2. 基于FPGA的QPSK调制解调的技术实现PDF论文.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:123029
    • 提供者:小红猪
  1. 基于FPGA的调制,实现了QPSK调制

    2下载:
  2. 基于FPGA的调制
  3. 所属分类:其它

  1. QPSKvhdl.rar

    0下载:
  2. QPSK的VHDL调制解调 FPGA设计思路思想,QPSK modulation and demodulation of the VHDL design thinking FPGA
  3. 所属分类:Compiler program

    • 发布日期:2017-04-09
    • 文件大小:1615721
    • 提供者:liming
  1. qpsk

    1下载:
  2. qpsk调制解调的FPGA实现。QPSK为调制程序,QPSK-two为解调程序。-qpsk modulation and demodulation of the FPGA. QPSK as the modulation process, QPSK-two for the demodulation process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1533
    • 提供者:张维
  1. Xilinx-FPGA-Matlab-Simulate

    0下载:
  2. Xilinx的FPGA 中的matlab simulink建模,内有几种调制方式,比如QPSK等-Xilinx
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-22
    • 文件大小:228998
    • 提供者:中山太乙
  1. qpsk

    0下载:
  2. qpsk vhdl code ue to impelemented on fpga kits
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-23
    • 文件大小:6518
    • 提供者:ahmed
  1. FPGAQPSK

    0下载:
  2. 文中介绍了QPSK调制解调的原理,并基于FPGA实现了QPSK调制解调电路。MAX+PLUSII环境下的仿真结果表明了该设计的正确性。 -In this paper, the principle of QPSK modulation and demodulation, and a FPGA-based QPSK modulation and demodulation circuit. MAX+ PLUSII environment simulation results show the corr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-12
    • 文件大小:41259
    • 提供者:杨杨
  1. QPSK

    1下载:
  2. OFDM系统中的QPSK调制,实用verilog编程,用于FPGA设计-for ofdm system
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-05
    • 文件大小:800
    • 提供者:周美红
  1. ModDemodOQPSK

    0下载:
  2. This file is the matlab source code for offset QPSK (OQPSK) modulation and demodulation in wireless and mobile communications
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-29
    • 文件大小:729
    • 提供者:Abbas
  1. costas_carrier_recover

    1下载:
  2. 基于硬件定点的完整的costas载波恢复环设计,FPGA设计可以用之参考。包括输入QPSK信号,16倍符号率采样,初始频差2.4KHz,以及低通滤波器的设计等待。最重要的是有本人的注释,易于上手。-Hardware-based fixed-point of complete costas carrier recovery loop design, FPGA reference design can be used. Including input QPSK signal, 16 times th
  3. 所属分类:3G开发

    • 发布日期:2014-03-16
    • 文件大小:1737
    • 提供者:luoshuwen
  1. module_dem

    2下载:
  2. 用verilog编写的信号调制解调程序,包括ask,fsk,qpsk的fpga实现-Prepared using verilog signal modulation and demodulation process, including ask, fsk, qpsk of fpga implementation
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:6068389
    • 提供者:yu
  1. QPSK

    0下载:
  2. 通过MATLAB对QPSK进行仿真,再在FPGA中实现QPSK的基本功能-By MATLAB simulation of QPSK, and then in the FPGA to implement the basic functions of QPSK
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:3825
    • 提供者:洪依
  1. QPSK

    0下载:
  2. 基于FPGA的QPSK调制解调电路设计与实现 -QPSK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:41664
    • 提供者:冯晓昊
  1. QPSK_modulator_demodulator

    0下载:
  2. Wireless_Communication_FPGA设计代码之一:QPSK调制解调的FPGA实现 将相应的源文件复制到本地硬盘上,修改属性为可写,然后在ISE环境中新建工程,然后添加相应的源文件即可。-Wireless_Communication_FPGA one of the design code: QPSK modulation and demodulation of the FPGA to achieve the corresponding source files to loc
  3. 所属分类:Compiler program

    • 发布日期:2017-04-01
    • 文件大小:842
    • 提供者:松松
  1. FPGA_QPSK

    0下载:
  2. 基于FPGA的QPSK调制解调器的设计,很不错!-FPGA-based QPSK modem design, very good!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1214547
    • 提供者:张大龙
  1. Costas

    1下载:
  2. 介绍了某直接序列扩频、QPSK调制系统接收通道中四相Costas 载波跟踪环的原理及其基于 DSP+FPGA 的实现-Introduced a direct-sequence spread spectrum, QPSK modulation system, receive path Costas carrier tracking loop four-phase principle and its implementation based on DSP+ FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-26
    • 文件大小:562345
    • 提供者:fy
  1. Xilinx-FPGA-Matlab-Simulate

    1下载:
  2. 这是Matlab实现的非常简单的数字信号调制仿真,用于Xilinx FPGA(ASK, BPSK, FSK, OOK, QPSK)-Matlab is very simple simulation of digital signal modulation for Xilinx FPGAs (ASK, BPSK, FSK, OOK, QPSK)
  3. 所属分类:Linux-Unix program

    • 发布日期:2014-05-24
    • 文件大小:229376
    • 提供者:罗生
  1. ask-psk-qpsk

    0下载:
  2. ask,psk ,qpsk 调试解调verilog源码,是无线通信fpga设计这本书上的,比较简单的实现方式-ask, psk, qpsk debugging demodulator verilog source, is a wireless communications fpga design of this book, a relatively simple way to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4924
    • 提供者:陈占田
  1. QPSK调制解调器的设计及FPGA实现

    1下载:
  2. QPSK FPGA的实现,QPSK调制解调器的设计及FPGA实现(Design and implementation of QPSK modem based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:6838272
    • 提供者:muweng
  1. 中频采样QPSK解调的FPGA设计与实现_杨波

    0下载:
  2. 中频采样QPSK解调的FPGA设计与实现_杨波(Yang Bo _ FPGA design and implementation of intermediate frequency sampling QPSK demodulation)
  3. 所属分类:其他

    • 发布日期:2018-01-02
    • 文件大小:18432
    • 提供者:muweng
« 12 3 »
搜珍网 www.dssz.com